From 7fc77f71516aabe2db9152b0d712eb5ff7821f81 Mon Sep 17 00:00:00 2001 From: vxunderground <57078196+vxunderground@users.noreply.github.com> Date: Fri, 15 Jul 2022 09:13:50 -0500 Subject: [PATCH] Create GDI_TEB_BATCH.h --- Structures/GDI_TEB_BATCH.h | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 Structures/GDI_TEB_BATCH.h diff --git a/Structures/GDI_TEB_BATCH.h b/Structures/GDI_TEB_BATCH.h new file mode 100644 index 0000000..da8f083 --- /dev/null +++ b/Structures/GDI_TEB_BATCH.h @@ -0,0 +1,5 @@ +typedef struct _GDI_TEB_BATCH { + ULONG Offset; + ULONG HDC; + ULONG Buffer[310]; +} GDI_TEB_BATCH, * PGDI_TEB_BATCH;